Leer fichero .vhdl en c++

Iniciado por statham, 1 Febrero 2019, 12:39 PM

0 Miembros y 1 Visitante están viendo este tema.

statham

Buenas llevo un tiempo buscando alguna biblioteca o forma de parsear un fichero .vhdl en c++.
Por ejemplo si tengo este simple codigo

Library IEEE;
use IEEE.std_logic_1164.all;

entity EXAMPLE is
 port ( A : in std_logic_vector (31 downto 0);  
      B : in fixed_std (6 downto 0);
      C: in natural;
      D: out natural; );
end EXAMPLE;


me interesaria sacar el tipo de cada señal y su tamaño, por ejemplo A es un std_logic_vector y su tamaño es 32.
Estoy buscando alguna forma de hacerlo que no sea a "pelo" ya que creo que debe haber alguna, pero no la encuentra.

Alguno ha realizado algo similar o saben por donde van los tiros?

Tampoco es un casteo, unicamente quiero sacar esos 2 datos.

Un saludo.