Multiplicador en VHDL

Iniciado por SGTheDreamer, 19 Marzo 2015, 00:47 AM

0 Miembros y 1 Visitante están viendo este tema.

SGTheDreamer

Hola amigos . Lo que pasa es que estoy estancado en un proyecto, tengo que hacer un multiplicador de 8 bits, de dos números A y B, en VHDL pero sin usar la libreria numeric ó artm(aritmetica), solo puedo usar la 1164 y unsigned. Me han dicho que es con sumadores  pero la verdad no entiendo ni por donde comenzar.
Tampoco puedo usar nada que tenga que ver con lógica secuencial, = No CLK. :(
Si me pueden ayudar con mi código se los agradecería mucho :).

kondrag_X1

HOLA,

Te comento yo lo resolví con el algoritmo lapiz y papel.

échale un ojo

https://www.uclm.es/profesorado/licesio/Docencia/ETC/23_1_MultiplicadoresDivisores.pdf

Saludos.