Hola a todos, la pregunta que tengo es que acabo de instalar VirtualBox en Ubuntu y no se como instalar los drivers, instale Windows 7 de 32bits alguien que me ayude por favor, se lo agradeceré mucho.

Esta sección te permite ver todos los mensajes escritos por este usuario. Ten en cuenta que sólo puedes ver los mensajes escritos en zonas a las que tienes acceso en este momento.
Mostrar Mensajes Menú--Decodificar de hexadecimal a 7 segmentos
entity decodificador7 is
port(aa,bb,cc,dd:in bit;
a,b,c,d,e,f,g:out bit);
end decodificador7;
architecture comportamental of decodificador7 is
signal pepe: bit_vector(3 downto 0);
signal sal: bit_vector(6 downto 0);
begin
process
begin
pepe<=aa & bb & cc & dd;
case pepe is
when "0000" => sal <= "0000000";
when "0001" => sal <= "1100000";
when "0010" => sal <= "1011011";
when "0011" => sal <= "1110011";
when "0100" => sal <= "1100101";
when "0101" => sal <= "1011011";
when "0110" => sal <= "0111111";
when "0111" => sal <= "1100010";
when "1000" => sal <= "1111111";
when "1001" => sal <= "1100111";
when "1010" => sal <= "1101111";
when "1011" => sal <= "0111101";
when "1100" => sal <= "0011110";
when "1101" => sal <= "1111001";
when "1110" => sal <= "0011111";
when "1111" => sal <= "0001111";
when others => sal <= "0000000";
end case;
end process;
a<=sal(6);
b<=sal(5);
c<=sal(4);
d<=sal(3);
e<=sal(2);
f<=sal(1);
g<=sal(0);
end comportamental;
Info: *******************************************************************
Info: Running Quartus II 64-Bit Analysis & Synthesis
Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
Info: Processing started: Thu Oct 16 12:46:07 2014
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off decodificador7 -c decodificador7
Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead.
Info (12021): Found 2 design units, including 1 entities, in source file decodificador7.vhd
Info (12022): Found design unit 1: decodificador7-comportamental
Info (12023): Found entity 1: decodificador7
Info (12127): Elaborating entity "decodificador7" for the top level hierarchy
Error (10442): VHDL Process Statement error at decodificador7.vhd(33): Process Statement must contain either a sensitivity list or a Wait Statement
Error (12153): Can't elaborate top-level user hierarchy
Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 2 errors, 0 warnings
Error: Peak virtual memory: 610 megabytes
Error: Processing ended: Thu Oct 16 12:46:08 2014
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 4 errors, 0 warnings
#ifndef PILAARRAY_H_INCLUDED
#define PILAARRAY_H_INCLUDED
#define TAMPILA 100
typedef struct
{
TipoDato listaPila[TAMPILA];
int cima;
}Pila;
//Operaciones sobre pila
void crearPila(Pila* pila);
void insertar(Pila* pila,TipoDato elemento);
TipoDato quitar(Pila* pila);
void limpiarPila(Pila* pila);
//Operaciones de acceso a pila
TipoDato cima(Pila pila);
//verificación estado de la Pila
int pilaVacia(Pila pila);
int pilaLlena(Pila pila);
#endif // PILAARRAY_H_INCLUDED
typedef int TipoDato;
#include "includes/pilaarray.h"
#include<stdio.h>
void main()
{
Pila pila;
int x;
crearPila(&pila); //Crea una pila vacia
printf("La pila crece con numeros enteros, terminar con 0\n");
do{
scanf("%d",&x);
while(getchar()!='\n');
if(x!=0){
if(!pilaLlena(pila))
insertar(&pila,x);
else{
printf("La pila se ha llenado.\n");
x=0;
}
}
}while(x!=0);
printf("Visualiza los elementos de la pila hasta que quede vacia.\n");;
while(!pilaVacia(pila)){
printf("\n\t%d\n",cima(pila));
quitar(&pila);
}
}
//Tienda de autos
#include <stdio.h>
struct autos {
char modelo[50];
char marca[50];
int costo;
int cantidadVendido;
}DATO;
void leerAuto(struct autos p);
int main()
{
leerAuto(DATO);
return 0;
}
void leerAuto (struct autos p)
{
int i;
printf("Introduce los datos de 5 automoviles\n");
for(i=0;i<5;i++){
printf("Introduce el %d automovil\n",i+1);
printf("Modelo:\t");
scanf("%s",p.modelo);
printf("Marca:\t");
scanf("%s",p.modelo);
printf("Costo:\t");
scanf("%d",&p.costo);
printf("Cantidad Vendida:\t");
scanf("%d",&p.cantidadVendido);
}
}